Nalezeno 1439 výsledků hledání

od Zmije
23 říj 2020, 10:36
Fórum: Výpočetní technika
Téma: [VYŘEŠENO] C: Jak zprovoznit program na čtení 2 portů LPT?
Odpovědi: 11
Zobrazení: 1074

/dev/parport vypadá zajímavě https://mockmoon-cybernetics.ch/computer/linux/programming/parport.html https://www.kernel.org/doc/html/latest/admin-guide/parport.html https://github.com/spotify/linux/blob/master/Documentation/parport.txt https://www.htlinux.com/parallel_port_control_in_linux U těch op...
od Zmije
23 říj 2020, 00:59
Fórum: Výpočetní technika
Téma: [VYŘEŠENO] C: Jak zprovoznit program na čtení 2 portů LPT?
Odpovědi: 11
Zobrazení: 1074

Předpokládám, že to řešení je pro Linux. Ve zdrojácích Avrdude je řešení pro Linux, FreeBSD a Windows

Speciální oprávnění (root, skupina, výjimka v sudores, ...) bude asi potřeba vždy, když se šahá přímo na HW.
od Zmije
22 říj 2020, 23:08
Fórum: Linkové telefony, faxy, tel. ústředny včetně domácích
Téma: Podvojná linka
Odpovědi: 3
Zobrazení: 3944

Něco jsem našel v knížce
Sdělovací technika po vedeních - Němeček, Kondrys
od Zmije
26 zář 2020, 22:06
Fórum: Součástky
Téma: Indentifikace SMD součástky C08 OBZ
Odpovědi: 2
Zobrazení: 747

Indentifikace SMD součástky C08 OBZ

Ahoj, prosím o pomoc s identifikací součístky s označením C08 OBZ.
od Zmije
01 zář 2020, 21:55
Fórum: Poptávka služeb, nabídky zaměstnání
Téma: Hledám technika na montáže internetu Olomouc a okolí
Odpovědi: 2
Zobrazení: 1809

Nicméně bezdrátů na 4G a i nově na 5G frekvencích je více. Měl jsem za to, že technologie 3G, 4G a 5G jsou frekvenčně agnostické a z technického hlediska je jedinou podmínkou dostupnost minimální šířky pásma. To je pro uvedené technologie 5MHz. Další podmínkou může být omezení od správce spektra, a...
od Zmije
30 kvě 2020, 20:04
Fórum: Poradna
Téma: Návrh, výroba a prodej vlastního hardwaru.
Odpovědi: 8
Zobrazení: 1647

Každý výrobek uváděný na trh musí mít prohlášení o shodě, ty ostatní věci (jako EMC) vyplývají z něj.
od Zmije
30 kvě 2020, 19:06
Fórum: Programování PIC, ATMEL, EEPROM a dalších obvodů
Téma: Rychlá levná paměť k FPGA pro uchování obrazových dat
Odpovědi: 2
Zobrazení: 1563

Podívej se na desku NeTV2 je přímo určená na zpracování videa pomocí FPGA. Deska má na sobě 512 MB RAM a je OpenSource. Je k ní vývojové prostředí Litex (HDL popis v Pythonu, vypadá pro začátečníky přívětivěji než klasika VHDL / Verilog). schéma desky na GitHubu Jeden z autorů Litexu je Enjoy Digitá...
od Zmije
21 kvě 2020, 00:04
Fórum: 3D tisk
Téma: Ozubené kolo
Odpovědi: 16
Zobrazení: 10629

Na tisk ozubených kol se doporučuje Nylon, ale je to náročný a drahý materiál. Hodně navlhá, musí se skladovat ve vakuových obalech a před použitím sušit. Při tisku se vrstvy špatně spojují, musí se tisknout na vyšší teplotu. A Practical Guide to FDM 3D Printing Gears PrusaPrinters Forum - Materiál ...
od Zmije
20 kvě 2020, 17:36
Fórum: 3D tisk
Téma: uplny zaciatocnik 3D
Odpovědi: 1
Zobrazení: 3528

Na root.cz těď vychází seriál tvorba modelu pro 3d tisk
Okolo tisku toho má spoustu v češtině Průša vč. fóra. Na youtube se taky něco najde.
od Zmije
17 kvě 2020, 00:46
Fórum: Software
Téma: FreeHDL
Odpovědi: 4
Zobrazení: 1559

Záleží i na účelu, jestli to má sloužit pro standardní návrh ve VHDL, nebo jako součást samomodifikujícího se systému. Podle diskuse na githubu je gvhdl jen skript, jak zmiňuješ, zatímco GHDL je nástupce FreeHDL. GHDL has evolved over the last years, so I think it can not be compared to other soluti...
od Zmije
16 kvě 2020, 23:17
Fórum: Software
Téma: FreeHDL
Odpovědi: 4
Zobrazení: 1559

Na FreeHDL trváš? Už se asi nevyvíjí.
Můžes zkusit třeba GHDL.
od Zmije
14 kvě 2020, 12:27
Fórum: Programování PIC, ATMEL, EEPROM a dalších obvodů
Téma: Open Source nástroje pro FPGA
Odpovědi: 12
Zobrazení: 7068

Vysokorychlostní periferie to pravděpodobně nezvládá, DSP možná částečně. Myslím že je jen otázka času, kdy to bude umět. Pro studenty a bastlíře je to ideální. Že je FPGA pro bastliřské projekty overkil? Možná je, ale když je cena za desku se středně velkým FPGA méně než 100$, vývojové prostředí zd...
od Zmije
09 kvě 2020, 23:52
Fórum: Programování PIC, ATMEL, EEPROM a dalších obvodů
Téma: Open Source nástroje pro FPGA
Odpovědi: 12
Zobrazení: 7068

Záleží na tom, čemu říkáš profesionální použítí. Každý výrobek nutně nepotřebuje nejvyšší řady FPGA se speciálními bloky, navíc se bude dostupnost otevřených IP jader zlepšovat. Pokud s těmito nástroji jsou schopni udělat RISC-V procesor a rozjet na tom Linux, tak je to perfektní výsledek, na hranic...
od Zmije
09 kvě 2020, 20:22
Fórum: Programování PIC, ATMEL, EEPROM a dalších obvodů
Téma: Open Source nástroje pro FPGA
Odpovědi: 12
Zobrazení: 7068

Další literatura P. Lafata, Úvod do jazyka VHDL I P. Lafata, Úvod do jazyka VHDL II P. Lafata, Úvod do jazyka VHDL III Bruno Levy learn-fpga Bastian Loher learn-fpga (Amaranth - nMigen version) RTL Design with VHDL Project-F SURF VHDL fpga4fun fpga4student Dipl. Implementace výpočtu FFT v obvodech F...

Přejít na rozšířené vyhledávání